單機遊戲下載單機遊戲下載基地
最新遊戲|熱門遊戲|遊戲大全|遊戲專題
壓縮解壓光盤工具文字輸入殺毒軟件文件處理轉換翻譯股票證券辦公學習編程軟件虛擬光驅
當前位置:首頁應用軟件編程軟件 → xilinx ise仿真設計軟件 v10.1破解版

xilinx ise仿真設計軟件 v10.1破解版

  • xilinx ise仿真設計軟件截圖0
< >
xilinx ise仿真設計軟件下載
好玩 好玩 0
坑爹 坑爹 0
  • 應用語言:中文
  • 應用大小:6.21G
  • 更新時間:2017-07-05 17:56
  • 發行時間:
  • 應用類型:普通
  • 應用標簽: xilinx ise
xilinxise仿真設計軟件v10.1破解版主要用於電路進行模擬測試,集成了多種硬件語言,是一款非常強悍的pld設計環境軟件,有強大的compxlibgui工具編譯Xilinx庫,以及綜合VHDL功能,完美的運用與各種電路測試中,需要的朋友可以下載使用。xilinxise簡介ISE的全稱[更多]
應用介紹

xilinx ise 10.1序列號:18D6A-JU4EK-7PWTK-BN7UL-2KR93

xilinx ise仿真設計軟件v10.1破解版主要用於電路進行模擬測試,集成了多種硬件語言,是一款非常強悍的pld設計環境軟件,有強大的compxlibgui工具編譯Xilinx庫,以及綜合VHDL功能,完美的運用與各種電路測試中,需要的朋友可以下載使用。

xilinx ise簡介

ISE的全稱為Integrated Software Environment,即“集成軟件環境”,是Xilinx公司的硬件設計工具。相對容易使用的、首屈一指的PLD設計環境 !ISE將先進的技術與靈活性、易使用性的圖形界麵結合在一起,不管您的經驗如何,都讓您在最短的時間,以最少的努力,達到最佳的硬件設計。xilinx ise 10.1版本不支持win8等係統,不過在xp係統上完美運行!

xilinx ise10.1的功能

1.綜合(Synthesis)

綜合是將行為和功能層次表達的電子係統轉化為低層次模塊的組合。一般來說,綜合是針對VHDL來說的,即將VHDL描述的模型、算法、行為和功能描述轉換為FPGA/CPLD基本結構相對應的網表文件,即構成對應的映射關係。

2.驗證(Verification)

驗證(Verification)包含綜合後仿真和功能仿真(Simulation)等。功能仿真就是對設計電路的邏輯功能進行模擬測試,看其是否滿足設計要求,通常是通過波形圖直觀地顯示輸入信號與輸出信號之間的關係。 綜合後仿真在針對目標器件進行適配之後進行,綜合後仿真接近真實器件的特性進行,能精確給出輸入與輸出之間的信號延時數據。

3.圖形或文本輸入(Design Entry)

圖形或文本輸入包括原理圖、狀態機、波形圖、硬件描述語言(HDL),是工程設計的第一步,ISE集成的設計工具主要包括HDL編輯器(HDL Editor)、狀態機編輯器(StateCAD)、原理圖編輯器(ECS)、IP核生成器(CoreGenerator)和測試激勵生成器(HDL Bencher)等。

compxlibgui工具編譯Xilinx庫

當ISE調用ModelSim進行仿真的時候,如果在FPGA設計中使用了Xilinx提供的的IP core或者其他的原語語句,ModelSim不添加Xilinx相應的庫文件的話,是無法仿真的。

或許是兼容性問題吧,安裝完成後用ISE10.1自帶的仿真器竟然無法使用,提示說是a lite version simulator。起初認為是安裝的了webpack版本的緣故,所以嚐試重新安裝,用一個foundation的版本的ID安裝,可是還是同樣的問題。

為了防止出現以為兼容性的問題而發生運行錯誤,xilinx/10.1/ise/bin/nt/ise.exe xilinx/10.1/EDK/bin/nt/xps.exe等主要的程序都設置成兼容模式。見圖1.

兼容模式設置

現在ISE自帶的仿真不能用了,那就用第三方提供的仿真軟件做代替,我選擇了ModelSim se 6.5。不過在使用Modelsim之前需要用ModelSim編譯Xilinx的庫並且在ModelSim的係統環境中指定編譯好的庫的路徑。以前的做法是直接用ModelSim自帶的命令手動編譯和添加Xilinx的庫。請見參考1。不過遺憾的事情手動編譯Xilinxcorelibs的時候老是出錯,個人猜測是編譯的文件順序有問題,導致在編譯一個文件的時候出現無法找到另一個庫。

現在推薦使用Xilinx自帶的庫編譯工具,用於自動調動ModelSim編譯和添加Xilinx的庫,省事多了,盡管有很多warnning,但是沒有錯誤。

安裝說明

1. 安裝ISE10.1 IP補丁包(可選)

下載路徑為:http://www.xilinx.com/support/download/i101allip.htm

注:提這一步的原因是:如果你在設計的時候使用了最近的IPcore,但是ModelSim沒有在XilinxCoreLibs下麵找到對應的庫,這樣就無法進行仿真了。為了將來不必要的麻煩,建議先安裝IP補丁包。

2.兼容模式設置

首先為了防止兼容性的問題,首先要做的就是設置兼容屬性。先找到compxlibgui.exe文件,具體路徑Xilinx/10.1/ISE/bin/nt/compxlibgui.exe.

右鍵選中該可執行文件,然後選中兼容模式為Windows XP service pack 3(因為ISE10.1在Win XP sp3下使用正常)。確定之後,運行該可執行文件。

3.修改ModelSim.ini文件屬性:修改為可讀

具體路徑modeltech_6.5/modelSim.ini,右鍵選中文件,去掉隻讀屬性。

注:因為在下麵的步驟中需要修改該文件,以指定編譯的庫。

3.運行compxlibgui.exe

進入Xilinx Simulation Library Compilation Wizard,見圖2. 指定ModelSim可執行文件的路徑。

注:ModelSim 版本的要求是:SE/PE 6.3C 或者更高版本

圖2. 指定仿真器的可執行文件的路徑

選擇你需要編譯的語言,我用VHDL,所以我隻選中了VHDL。

圖3. HDL 語言選擇

後麵三步我都是默認選擇(Select device Familes 和Select libraries for functional Simulator,以及預編譯的庫的路徑)

圖4. 編譯時候的圖形界麵

注:有興趣的人可以看一下,在編譯的時候用到了哪些命令和參數以及相關的文件的讀寫。

4打開ModelSim查看庫

將modeltech_6.5/modelsim.ini修改為隻讀的,否則modelSim會有一個警告提示。

圖5. ModelSim庫文件列表

現在就可以通過ISE直接調用ModelSim仿真了。

xilinx ise10.1的特點

1.專門為解決設計人員所麵臨的時序收斂和生產力這兩大艱巨挑戰而開發,支持在多台Linux主機上進行分布式處理,可在一天時間裏完成更多次實施過程。

2.包括設計輸入、仿真、綜合、布局布線、生成BIT文件、配置以及在線調試等,功能非常強大。

3.在硬件設計上應用非常廣泛,覆蓋從係統級設計探索、軟件開發和基於HDL硬件設計,直到驗證、調試和PCB設計集成的全部設計流程。

4.通過利用分布式處理和多種實施策略,性能可以提升多達38%。SmartXplorer技術同時還提供了一些工具,允許用戶利用獨立的時序報告監控每個運行實例。


相關應用
下載地址

xilinx ise仿真設計軟件 v10.1破解版

    熱門評論

    最新評論

    發表評論 查看所有評論(0)

    昵稱:
    表情: 高興 可 汗 我不要 害羞 好 下下下 送花 屎 親親
    (您的評論需要經過審核才能顯示)

    配置需求

    推薦專題

    up對戰平台up對戰平台

    關於飛翔 | 聯係我們 | 大事記 | 下載幫助(?) | 廣告聯係 | 版權聲明 | 網站地圖 | 友情鏈接

    Copyright 2010-2013 單機遊戲下載 (R) 版權所有 飛翔下載所有遊戲及軟件下載資源來源互聯網,並由網友上傳分享。如有侵權,請來電來函告之。
    飛翔忠告:抵製不良色情、反動、暴力遊戲 合理安排遊戲時間 享受健康生活【鄂ICP備13011873號-1】